可学答题网 > 问答 > EDA技术题库,电子与通信技术题库
目录: 标题| 题干| 答案| 搜索| 相关
问题

在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句


在VHDL的FOR_LOOP语句中的循环变量是一个临时变量,属于LOOP语句的局部量,()事先声明。

  • A必须
  • B不必
  • C其类型要
  • D其属性要
参考答案
参考解析:

暂无解析

分类:EDA技术题库,电子与通信技术题库
相关推荐

1、在VHDL语言中,ARCHITECTURE中的语句都是()执行的语句。

在VHDL语言中,ARCHITECTURE中的语句都是()执行的语句。A顺序B并行C即可顺序也可并行D无法确定

2、在VHDL中,PROCESS本身是()语句。

在VHDL中,PROCESS本身是()语句。A顺序B顺序和并行C并行D任何

3、在VHDL语言中,信号赋值语句使用的代入符是()

在VHDL语言中,信号赋值语句使用的代入符是()A=B:=C<=D==

4、在利用变量进行编程时,程序段WHILE[#2LE#5]D02为循环判断语句。

在利用变量进行编程时,程序段WHILE[#2LE#5]D02为循环判断语句。A正确B错误

5、在VHDL中,可以用语句()表示检测clock下降沿。

在VHDL中,可以用语句()表示检测clock下降沿。Aclock’eventBclock’eventandclock=’1’Cclock=’0’Dclock’eventandclock=’0’

6、在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作

在VHDL的CASE语句中,条件句中的“=>”不是操作符号,它只相当与()作用。AIFBTHENCANDDOR